Welcome![Sign In][Sign Up]
Location:
Search - frequency counter

Search list

[SCM6led-Frequency

Description: 频率计。6位数码管显示,普通89c51控制芯片制作的频率计(计数器),可以通过学习,掌握频率计、计数器的工作原理,也可以在业余无线电制作精度要求不高的场合使用-Frequency meter. 6-bit digital control, general 89c51 control chip produced by the frequency meter (counter), you can learn and master frequency meter, the counter works, made in amateur radio can also be the occasion to use less precision
Platform: | Size: 46080 | Author: 东苹之恋 | Hits:

[VHDL-FPGA-Verilogfrequency

Description: 可编程的4位频率计数器,得到的频率会累加-Programmable 4-bit frequency counter, frequency will be cumulative。
Platform: | Size: 1024 | Author: | Hits:

[SCM6-bit-digital-frequency-counter

Description: 单片机开发源程序、6位数字频率计设计(PROTEUS仿真,Keil编程,C语言编程)-MCU development source, 6-digit frequency meter design (PROTEUS simulation, Keil, C-language programming)
Platform: | Size: 252928 | Author: 嘉铭灵均 | Hits:

[SCMCalculator.-Frequency-counter

Description: 乘法口诀表,乘法抢答器,数码管频率计,遥控计算器,液晶计算器,液晶平方计算器-Multiplication formulas table, multiplication Responder, digital frequency meter, remote control calculator, LCD calculator, LCD square calculator
Platform: | Size: 25600 | Author: w31123456 | Hits:

[VHDL-FPGA-Verilog8-bit-decimal-frequency-meter

Description: 利用FPGA,实现8位十进制频率计功能。高效,实用。-Using FPGA, to achieve 8-bit decimal frequency counter function. Efficient and practical.
Platform: | Size: 307200 | Author: 云龙 | Hits:

[VHDL-FPGA-VerilogExternal-frequency

Description: 名称:频率计 内容:T0外部计数,T1计时1S,计算1S内外部脉冲个数,并在液晶显示 频率:单位时间内完成振动的次数-Name: Frequency Counter content: T0 external count, T1 timing 1S, 1S calculate the number of internal and external pulse, and the liquid crystal display frequency: per unit time to complete the number of vibrations
Platform: | Size: 32768 | Author: 陈超 | Hits:

[VHDL-FPGA-Verilogfreq-counter

Description: 基于测频法的频率计,以STC89C52单片机为核心构成单片机应用系统。-Frequency measurement method based on the frequency counter to the core composition STC89C52 microcontroller chip applications.
Platform: | Size: 28672 | Author: nemo | Hits:

[SCMFrequency

Description: PIC (PIC16F886) 做的简单频率计 用TMR0定时1s,将待测信号从T1CKI脚输入,用TMR1进行计数,读出TMR1H,TMR1L的值就是频率 单片机:PIC16F886 显示:LCD1602 编程语言:PIC C 附Proteus仿真。-PIC (PIC16F886) to do a simple frequency counter TMR0 timer with 1s, the test signal input pin from T1CKI with TMR1 count, read TMR1H, TMR1L value is the frequency of the microcontroller: PIC16F886 display: LCD1602 with Proteus simulation.
Platform: | Size: 118784 | Author: 高原 | Hits:

[VHDL-FPGA-VerilogUPDATED-F-COUNTER-LCD

Description: PIC Micro Frequency counter
Platform: | Size: 395264 | Author: AVGR | Hits:

[SCMCCP-module-frequency-meter

Description: CCP模块频率计,利用CCP1模块实现一个简易数字频率计的功能-CCP module frequency counter, use the CCP1 module to achieve a simple digital frequency meter function
Platform: | Size: 3072 | Author: xiakangwei | Hits:

[SCMFrequency-Counter

Description: 这是我以前写的频率计程序,用的是51单片机,开发环境是keil。功能是把测量到的频率在1602液晶上事实显示出来。-This is what I used to write the frequency of accounting procedures, using 51 single-chip, the development environment is keil. Function is the measured frequency on the facts in the 1602 LCD display.
Platform: | Size: 16384 | Author: cxf | Hits:

[SCMfrequence-counter

Description: 簡單的計頻器設計很值得參考包括顯示uart clk led 1602 都在裡頭-Simple frequency counter design is worth considering include the display uart clk led 1602 are in the inside
Platform: | Size: 31744 | Author: 吳秉融 | Hits:

[VHDL-FPGA-VerilogFrequency-counter

Description: 基于FPGA的数字频率计:1. 测量1Hz~1GHz方波的频率,精度为十分位。 2. 档位自动调整,分为1Hz~999.9Hz,1KHz~999.9KHz,1MHz~999.9MHz三个档位。 3. 实现16位的除法器,进行频率的计算,并以ASIIC码输出测量的数据。 -FPGA-based digital frequency meter: 1. Measurement 1Hz ~ 1GHz square wave frequency, accuracy decile. (2) automatically adjusts the stalls, divided into 1Hz ~ 999.9Hz, 1KHz ~ 999.9KHz, 1MHz ~ 999.9MHz three stalls. 3 for 16-bit divider, the frequency calculations, and ASIIC code output measured data.
Platform: | Size: 133120 | Author: | Hits:

[SCMFrequency-counter

Description: 基于STC89C52RC的单片机c程序。运用中断采集频率信号,数码管显示 -STC89C52RC microcontroller c program. Use of interrupt frequency signal acquisition, the digital display
Platform: | Size: 55296 | Author: shaojun | Hits:

[SCMfrequency-counter

Description: 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。-AT89S51 microcontroller T0, T1 timing counter to the input signal frequency count, and count the frequency of results through eight dynamic digital tube display. Requires the ability to 0-to 250KHZ the signal frequency, an accurate count, counting error of less than ± 1HZ.
Platform: | Size: 254976 | Author: fanyi | Hits:

[SCMfrequency-meter

Description: 基于at89s52@12MHz的频率计,使用lm311比较器,手动切换量程,最大可检测1MHz频率,大约0.5 误差。使用lcd1602显示,界面友好。-Based on the of at89s52 @ 12MHz frequency counter, use the lm311 comparator, manual switching range, the maximum detectable frequency of 1MHz, about 0.5 error. Where use lcd1602 friendly interface.
Platform: | Size: 15360 | Author: 刘熠 | Hits:

[VHDL-FPGA-VerilogFrequency

Description: 频率计,用verilog编写。语言简洁易懂。-Frequency counter, written in verilog.
Platform: | Size: 1628160 | Author: 王赢之 | Hits:

[Software EngineeringFPGA-based-frequency-counter

Description: 文章主要介绍了使用VHDL实现数字频率计的功能,其中包含了各部件的VHDL语言描述,仿真和大致硬件框图,对于初学EDA者大有帮助。-The article introduces the VHDL realization of the functionality of the digital frequency meter, which contains the hardware block diagram of the various components of the VHDL language description, simulation and approximate, and is a great help for beginners EDA.
Platform: | Size: 777216 | Author: 金刚 | Hits:

[SCMFrequency--Counter

Description: 本文档内含有两种测频率方法,测周法 和测频法 ,含有源码及说明文档,仿真文档-This document contains two frequency measurement method, the method of frequency measurement method and week, contains the source code and documentation, simulation document
Platform: | Size: 1058816 | Author: zhushunyi | Hits:

[OtherFrequency-meter

Description: 用Verilog语言编写的频率计,可以精确到1Hz-Frequency counter with the Verilog language, can be accurate to 1Hz
Platform: | Size: 13312 | Author: 李炜 | Hits:
« 1 2 3 4 56 7 8 9 10 ... 33 »

CodeBus www.codebus.net